Access the full text.
Sign up today, get DeepDyve free for 14 days.
Marcelo Martins, Rodrigo Fonseca (2013)
Application modes: a narrow interface for end-user power management in mobile devices
Vahid Lari, Shravan Muddasani, Srinivas Boppu, Frank Hannig, Moritz Schmid, J. Teich (2013)
Hierarchical power management for adaptive tightly-coupled processor arraysACM Trans. Design Autom. Electr. Syst., 18
Luciano Ost, Marcelo Mandelli, G. Almeida, Leandro Möller, L. Indrusiak, G. Sassatelli, P. Benoit, M. Glesner, M. Robert, F. Moraes (2013)
Power-aware dynamic mapping heuristics for NoC-based MPSoCs using a unified model-based approachACM Trans. Embed. Comput. Syst., 12
C. Ellis (1999)
The case for higher-level power managementProceedings of the Seventh Workshop on Hot Topics in Operating Systems
D. Kissler, D. Gran, Z. Salcic, Frank Hannig, J. Teich (2011)
Scalable Many-Domain Power Gating in Coarse-Grained Reconfigurable Processor ArraysIEEE Embedded Systems Letters, 3
Yoshiki Saito, T. Shirai, Takuro Nakamura, T. Nishimura, Y. Hasegawa, S. Tsutsumi, Toshihiro Kashima, M. Nakata, S. Takeda, K. Usami, H. Amano (2008)
Leakage power reduction for coarse grained dynamically reconfigurable processor arrays with fine grained Power Gating technique2008 International Conference on Field-Programmable Technology
L. Benini, G. Micheli (2000)
System-level power optimization: techniques and toolsProceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477)
Hao Shen, Ying Tan, Jun Lu, Qing Wu, Qinru Qiu (2013)
Achieving autonomous power management using reinforcement learningACM Trans. Design Autom. Electr. Syst., 18
N. Zompakis, A. Bartzas, D. Soudris (2015)
Using Chaos Theory based workload analysis to perform Dynamic Frequency Scaling on MPSoCsJ. Syst. Archit., 61
Vahid Lari, Shravan Muddasani, Srinivas Boppu, Frank Hannig, J. Teich (2012)
Design of Low Power On-chip Processor Arrays2012 IEEE 23rd International Conference on Application-Specific Systems, Architectures and Processors
C. Isci, Gilberto Contreras, M. Martonosi (2006)
Live, Runtime Phase Monitoring and Prediction on Real Systems with Application to Dynamic Power Management2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06)
A. Kupriyanov, D. Kissler, Frank Hannig, J. Teich (2007)
Efficient event-driven simulation of parallel processor architectures
Haris Javaid, M. Shafique, J. Henkel, S. Parameswaran (2014)
Energy-Efficient Adaptive Pipelined MPSoCs for Multimedia ApplicationsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 33
H. Homayoun, Shahin Golshan, E. Bozorgzadeh, A. Veidenbaum, F. Kurdahi
Sustainable Computing: Informatics and Systems on Leakage Power Optimization in Clock Tree Networks for Asics and General-purpose Processors
Qiang Wu, Philo Juang, M. Martonosi, D. Clark (2004)
Formal online methods for voltage/frequency control in multiple clock domain microprocessors
S. Irani, S. Shukla, Rajesh Gupta (2003)
Online strategies for dynamic power management in systems with multiple power-saving statesACM Trans. Embed. Comput. Syst., 2
Mohammad Hosseinabady, J. Núñez-Yáñez (2012)
Run-time stochastic task mapping on a large scale network-on-chip with dynamically reconfigurable tilesIET Comput. Digit. Tech., 6
Inchoon Yeo, C. Liu, Eun Kim (2008)
Predictive dynamic thermal management for multicore systems2008 45th ACM/IEEE Design Automation Conference
D. Kissler, Andreas Strawetz, Frank Hannig, J. Teich (2009)
Power-Efficient Reconfiguration Control in Coarse-Grained Dynamically Reconfigurable ArchitecturesJ. Low Power Electron., 5
V. Vardhan, Wanghong Yuan, A. Harris, S. Adve, R. Kravets, K. Nahrstedt, D. Sachs, Douglas Jones (2009)
GRACE-2: integrating fine-grained application adaptation with global adaptation for saving energyInt. J. Embed. Syst., 4
Hadil Al-Daoud, Issam Al-Azzoni, D. Down (2010)
Power-Aware Linear Programming based Scheduling for heterogeneous computer clustersInternational Conference on Green Computing
W. Bircher, L. John (2010)
Predictive power management for multi-core processors
Maryam Triki, Yanzhi Wang, A. Ammari, Massoud Pedram (2015)
Hierarchical power management of a system with autonomously power-managed components using reinforcement learningIntegr., 48
Arjun Roy, Stephen Rumble, Ryan Stutsman, P. Levis, David Mazières, N. Zeldovich (2011)
Energy management in mobile devices with the cinder operating system
Andrea Bartolini, M. Cacciari, A. Tilli, L. Benini (2013)
Thermal and Energy Management of High-Performance Multicores: Distributed and Self-Calibrating Model-Predictive ControllerIEEE Transactions on Parallel and Distributed Systems, 24
Haris Javaid, M. Shafique, J. Henkel, S. Parameswaran (2011)
System-level application-aware dynamic power management in adaptive pipelined MPSoCs for multimedia2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
Qing Wu, Massoud Pedram, Xunwei Wu (1997)
Clock-gating and its application to low power design of sequential circuitsProceedings of CICC 97 - Custom Integrated Circuits Conference
Hailin Jiang, M. Marek-Sadowska, S. Nassif (2005)
Benefits and costs of power-gating technique2005 International Conference on Computer Design
L. Benini, A. Bogliolo, G. Micheli (2000)
A survey of design techniques for system-level dynamic power managementIEEE Trans. Very Large Scale Integr. Syst., 8
Kinshuk Govil, E. Chan, H. Wasserman (1995)
Comparing algorithm for dynamic speed-setting of a low-power CPU
Vahid Lari, Andriy Narovlyanskyy, Frank Hannig, J. Teich (2011)
Decentralized dynamic resource management support for massively parallel processor arraysASAP 2011 - 22nd IEEE International Conference on Application-specific Systems, Architectures and Processors
Wanghong Yuan, K. Nahrstedt (2003)
Energy-efficient soft real-time CPU scheduling for mobile multimedia systems
Xiaotao Liu, P. Shenoy, M. Corner (2008)
Chameleon: Application-Level Power ManagementIEEE Transactions on Mobile Computing, 7
C. Isci, A. Buyuktosunoglu, M. Martonosi (2005)
Long-term workload phases: duration predictions and applications to DVFSIEEE Micro, 25
J. Kao, S. Narendra, A. Chandrakasan (2002)
Subthreshold leakage modeling and reduction techniques
S. Mutoh, T. Douseki, Y. Matsuya, T. Aoki, S. Shigematsu, J. Yamada (1995)
1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOSIEEE J. Solid State Circuits, 30
[In this chapter, we propose to exploit the simple yet effective idea to power processing elements of a TCPAs on at time of invasion and to shut them down again by power gating as soon as an application retreats.]
Published: Jul 9, 2016
Keywords: Processor Array; Power Switching; Timing Overhead; Hardware Overhead; Dynamic Power Management
Read and print from thousands of top scholarly journals.
Already have an account? Log in
Bookmark this article. You can see your Bookmarks on your DeepDyve Library.
To save an article, log in first, or sign up for a DeepDyve account if you don’t already have one.
Copy and paste the desired citation format or use the link below to download a file formatted for EndNote
Access the full text.
Sign up today, get DeepDyve free for 14 days.
All DeepDyve websites use cookies to improve your online experience. They were placed on your computer when you launched this website. You can change your cookie settings through your browser.