Access the full text.
Sign up today, get DeepDyve free for 14 days.
R. Kanj, R. Joshi, S. Nassif (2006)
Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events2006 43rd ACM/IEEE Design Automation Conference
B. Paul, Kunhyuk Kang, H. Kufluoglu, M. Alam, K. Roy (2005)
Impact of NBTI on the temporal performance degradation of digital circuitsIEEE Electron Device Letters, 26
D. Weiss, John Wuu, V. Chin (2002)
The on-chip 3 MB subarray based 3rd level cache on an Itanium microprocessor2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315), 1
Xiangning Yang, K. Saluja (2007)
Combating NBTI Degradation via Gate Sizing8th International Symposium on Quality Electronic Design (ISQED'07)
S. Zafar, Arvind Kumar, E. Gusev, E. Cartier (2005)
Threshold Voltage Instabilities in High- Gate Dielectric Stacks
Michael Chu, Rajiv Ravindran, S. Mahlke (2007)
Data Access Partitioning for Fine-grain Parallelism on Multicore Architectures40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007)
S. Zafar, A. Kumar, E. Gusev, E. Cartier (2005)
Threshold voltage instabilities in high-/spl kappa/ gate dielectric stacksIEEE Transactions on Device and Materials Reliability, 5
M. Shooman (2001)
Reliability of computer systems and networks
H. Shafi, P. Bohrer, J. Phelan, C. Rusu, James Peterson (2003)
Design and validation of a performance and power simulator for PowerPC systemsIBM J. Res. Dev., 47
M. Shooman, John (2003)
Reliability of Computer Systems and Networks Fault Tolerance, Analysis, and DesignIIE Transactions, 35
S. Mahapatra, P. Kumar, T. Dalei, D. Sana, M. Alam (2004)
Mechanism of negative bias temperature instability in CMOS devices: degradation, recovery and impact of nitrogenIEDM Technical Digest. IEEE International Electron Devices Meeting, 2004.
Xiangning Yang, Eric Weglarz, K. Saluja (2007)
On NBTI Degradation Process in Digital Logic Circuits20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07)
B. Sinharoy, R. Kalla, Joel Tendler, R. Eickemeyer, J. Joyner (2005)
POWER5 system microarchitectureIBM J. Res. Dev., 49
S. Zafar (2006)
The Negative Bias Temperature Instability in MOS Devices
S. Borkar (2005)
Designing reliable systems from unreliable components: the challenges of transistor variability and degradationIEEE Micro, 25
Jayanth Srinivasan, S. Adve, P. Bose, J. Rivers (2004)
The case for lifetime reliability-aware microprocessorsProceedings. 31st Annual International Symposium on Computer Architecture, 2004.
S. Zafar (2005)
Statistical mechanics based model for negative bias temperature instability induced degradationJournal of Applied Physics, 97
Jeonghee Shin, V. Zyuban, Zhigang Hu, J. Rivers, P. Bose (2007)
A Framework for Architecture-Level Lifetime Reliability Modeling37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'07)
Kunhyuk Kang, H. Kufluoglu, K. Roy, M. Alam (2007)
Impact of Negative-Bias Temperature Instability in Nanoscale SRAM Array: Modeling and AnalysisIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 26
M. Lucente, C. Harris, R. Muir (1990)
Memory system reliability improvement through associative cache redundancyIEEE Proceedings of the Custom Integrated Circuits Conference
Sanjay Kumar, C. Kim, S. Sapatnekar (2006)
Impact of NBTI on SRAM read stability and design for reliability7th International Symposium on Quality Electronic Design (ISQED'06)
A. Bhavnagarwala, S. Kosonocky, M. Immediato, D. Knebel, A. Haen (2003)
A pico-joule class, 1 GHz, 32 KByte/spl times/64 b DSP SRAM with self reverse bias2003 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.03CH37408)
D. Bossen, Alongkorn Kitamorn, K. Reick, M. Floyd (2002)
Fault-tolerant design of the IBM pSeries 690 system using POWER4 processor technologyIBM J. Res. Dev., 46
R. Vattikonda, Wenping Wang, Yu Cao (2006)
Modeling and minimization of PMOS NBTI effect for robust nanometer design2006 43rd ACM/IEEE Design Automation Conference
S. Woo, Moriyoshi Ohara, Evan Torrie, J. Singh, Anoop Gupta (1995)
The SPLASH-2 programs: characterization and methodological considerationsProceedings 22nd Annual International Symposium on Computer Architecture
S. Tsujikawa, K. Watanabe, R. Tsuchiya, K. Ohnishi, J. Yugami (2003)
Experimental evidence for the generation of bulk traps by negative bias temperature stress and their impact on the integrity of direct-tunneling gate dielectrics2003 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.03CH37407)
Fred Bower, Paul Shealy, S. Ozev, Daniel Sorin (2004)
Tolerating hard faults in microprocessor array structuresInternational Conference on Dependable Systems and Networks, 2004
N. Heckert, J. Filliben (2003)
NIST/SEMATECH e-Handbook of Statistical Methods; Chapter 1: Exploratory Data Analysis
J. Abella, Xavier Vera, Antonio González (2007)
Penelope: The NBTI-Aware Processor40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007)
Jayanth Srinivasan, S. Adve, P. Bose, J. Rivers (2005)
Exploiting structural duplication for lifetime reliability enhancement32nd International Symposium on Computer Architecture (ISCA'05)
D. Plass, Y. Chan (2007)
IBM POWER6 SRAM arraysIBM J. Res. Dev., 51
Microarchitectural redundancy has been proposed as a means of improving chip lifetime reliability. It is typically used in a reactive way, allowing chips to maintain operability in the presence of failures by detecting and isolating, correcting, and/or replacing components on a first-come, first-served basis only after they become faulty. In this paper, we explore an alternative, more preferred method of exploiting microarchitectural redundancy to enhance chip lifetime reliability. In our proposed approach, redundancy is used proactively to allow non-faulty microarchitecture components to be temporarily deactivated, on a rotating basis, to suspend and/or recover from certain wearout effects. This approach improves chip lifetime reliability by warding off the onset of wearout failures as opposed to reacting to them posteriorly. Applied to on-chip cache SRAM for combating NBTI-induced wearout failure, our proactive wearout recovery approach increases lifetime reliability (measured in mean-time-to-failure) of the cache by about a factor of seven relative to no use of microarchitectural redundancy and a factor of five relative to conventional reactive use of redundancy having similar area overhead.
ACM SIGARCH Computer Architecture News – Association for Computing Machinery
Published: Jun 1, 2008
Read and print from thousands of top scholarly journals.
Already have an account? Log in
Bookmark this article. You can see your Bookmarks on your DeepDyve Library.
To save an article, log in first, or sign up for a DeepDyve account if you don’t already have one.
Copy and paste the desired citation format or use the link below to download a file formatted for EndNote
Access the full text.
Sign up today, get DeepDyve free for 14 days.
All DeepDyve websites use cookies to improve your online experience. They were placed on your computer when you launched this website. You can change your cookie settings through your browser.